Zogulitsa

10M08SAU169C8G Lumikizanani ndi makasitomala (21+ malo ogulitsa)

Kufotokozera Kwachidule:

Nambala ya Gawo la Boyad: 544-3135-ND
wopanga: Intel
Nambala ya opanga: 10M08SAU169C8G
kufotokoza: IC FPGA 130 I/O 169UBGA
Kufotokozera mwatsatanetsatane: mndandanda Field Programmable Gate Array (FPGA) IC 130 387072 8000 169-LFBGA
Nambala Yagawo Yamakasitomala
Zofotokozera: Zofotokozera


Tsatanetsatane wa Zamalonda

Zolemba Zamalonda

katundu katundu

TYPE Fotokozani
gulu Integrated Circuit (IC)
Yophatikizidwa - FPGA (Field Programmable Gate Array)
wopanga Intel
mndandanda MAX® 10
Phukusi thireyi
katundu udindo zilipo
Nambala ya LAB/CLB 500
Chiwerengero cha logic zinthu/mayunitsi 8000
Mabiti onse a RAM 387072
Chiwerengero cha I/O 130
Voltage - Yoyendetsedwa 2.85V ~ 3.465V
mtundu woyika Surface Mount Type
Kutentha kwa ntchito 0°C ~ 85°C (TJ)
Phukusi/Enclosure 169-LFBGA
Supplier Chipangizo Packaging 169-UBGA (11x11)

nenani cholakwika
Kusaka Kwatsopano kwa Parametric

Documentation ndi Media

ZOTHANDIZA TYPE KULUMIKIZANA
Zofotokozera MAX 10 FPGA mwachidule MAX 10 FPGA Device Datasheet
Ma module opangira zinthu MAX10 Motor Control pogwiritsa ntchito Single-Chip Low-Cost Non-Volatile FPGA  MAX10 Yotengera System Management
Zamgululi T-Core PlatformEvo M51 Compute Module Hinj™ FPGA Sensor Hub ndi Development Kit XLR8: Arduino Yogwirizana ndi FPGA Development Board
PCN Design/Specification Max10 Pin Guide 3/Dec/2021Mult Dev Software Chgs 3/Jun/2021
Pulogalamu ya PCN Mult Dev Label Chgs 24/Feb/2020Mult Dev Label CHG 24/Jan/2020
Zolemba za HTML MAX 10 FPGA mwachiduleMAX 10 FPGA Device Datasheet
EDA/CAD chitsanzo 10M08SAU169C8G ndi SnapEDA

Magulu a Zachilengedwe ndi Zogulitsa kunja

MAKHALIDWE Fotokozani
Udindo wa RoHS RoHS imagwirizana
Moisture Sensitivity Level (MSL) 3 (maola 168)
REACH status Zopanda REACH
Mtengo wa ECCN 3A991D
HTSUS 8542.39.0001

Zowonjezera Zowonjezera ndi Digital Signal Processing Support
Kufikira 17 zolowetsa zakunja zamtundu umodzi
kwa zida za ADC imodzi
Analogi imodzi yodzipatulira ndi zikhomo 16 za ntchito ziwiri zapawiri
Kufikira 18 zolowetsa zakunja zokha
kwa zida ziwiri za ADC
• Analogi imodzi yodzipatulira ndi mapini asanu ndi atatu a ntchito zapawiri pa block iliyonse ya ADC
• Kuthekera koyezera munthawi yomweyo kwa zida zapawiri za ADC
Sensor yotentha ya On-chip Imayang'anira kuyika kwa data yakunja ndi zitsanzo zofikira 50
kilosamples pamphindikati
Ogwiritsa Flash Memory
Chotchinga cha kukumbukira kwa ogwiritsa ntchito (UFM) mu zida za Intel MAX 10 zimasunga zosasinthika
zambiri.
UFM imapereka njira yabwino yosungirako yomwe mungathe kugwiritsa ntchito Avalon Memory Mapped (Avalon-MM) protocol interface akapolo.
Zowonjezera Zowonjezera ndi Digital Signal Processing Support
Zida za Intel MAX 10 zimathandizira mpaka midadada 144 yophatikizika yowonjezera.Mdadada uliwonse
imathandizira munthu m'modzi wochulutsa 18 × 18-bit kapena awiri ochulukitsa 9 × 9-bit.
Ndi kuphatikiza kwa zida za pa-chip ndi mawonekedwe akunja mu Intel MAX 10
zida, mutha kupanga machitidwe a DSP okhala ndi magwiridwe antchito apamwamba, otsika mtengo, komanso otsika
kugwiritsa ntchito mphamvu.
Mutha kugwiritsa ntchito chipangizo cha Intel MAX 10 pachokha kapena ngati chipangizo cha DSP chothandizira
onjezerani kuchuluka kwamitengo ndi magwiridwe antchito a machitidwe a DSP.
Mutha kuwongolera magwiridwe antchito a midadada ophatikizidwa ochulukitsa pogwiritsa ntchito zotsatirazi
zosankha:
• Ikani magawo a IP oyenera ndi Intel Quartus Prime parameter editor
• Fotokozerani ochulukitsa mwachindunji ndi VHDL kapena Verilog HDL
Mapangidwe adongosolo amaperekedwa pazida za Intel MAX 10:
• DSP IP cores:
- Ntchito zanthawi zonse za DSP monga kuyankha kwamphamvu (FIR), mwachangu
Fourier transform (FFT), ndi manambala controlled oscillator (NCO) ntchito
- Ma suites a kanema wamba ndi ntchito zosinthira zithunzi
• Malizitsani maupangiri ogwiritsira ntchito kumapeto kwa msika
• DSP Builder for Intel FPGAs interface chida pakati pa Intel Quartus Prime
mapulogalamu ndi mapangidwe a MathWorks Simulink ndi MATLAB
• Zida zachitukuko za DSP
Ma Memory Blocks Ophatikizidwa
Kapangidwe ka kukumbukira kophatikizidwa kumakhala ndi mizere yotsekera ya M9K.Aliyense M9K
Memory block ya chipangizo cha Intel MAX 10 imapereka 9 KB ya kukumbukira pa-chip yomwe imatha
ntchito pa 284 MHz.Kapangidwe ka kukumbukira kophatikizidwa kumakhala ndi M9K
Memory blocks columns.Chipangizo chilichonse cha M9K cha chipangizo cha Intel MAX 10 chimapereka
9 KB ya pa-chip memory.Mutha kutsitsa midadada yokumbukira kuti ipangike mokulirapo kapena mozama
logic zomangamanga.
Mutha kusintha midadada ya M9K ngati RAM, FIFO buffers, kapena ROM.
Ma block memory a Intel MAX 10 amakonzedwa kuti azigwiritsidwa ntchito ngati apamwamba
processing packet processing, ophatikizidwa purosesa pulogalamu, ndi ophatikizidwa deta
yosungirako.


  • Zam'mbuyo:
  • Ena:

  • Siyani Uthenga Wanu

    Zogwirizana nazo

    Siyani Uthenga Wanu